aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue459/e2.vhdl
blob: 98cc0c0c94f37e19dfbccf693c130383400d20ff (plain)
1
2
3
4
5
6
7
8
9
10
entity E2 is
end entity;

architecture behav of E2 is
	-- array with unconstrained array element type
	type    A is array(natural range <>) of bit_vector;

        signal s : a (7 downto 0)(3 downto 0);
begin
end architecture;