aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue459/repro1.vhdl
blob: 1d0fbe95417ec7dcdda9a0d0ea7f34d26398f7d1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity repro1 is
end entity;

architecture A of repro1 is
	-- array with unconstrained array element type
	type    A is array(natural range <>) of bit_vector;

	-- partially constrained array -> constrained outer array (vector)
	subtype P2 is A(15 downto 0)(open);

	signal S2 : P2(open)(7 downto 0);  --  fully constrained.
begin
end architecture;