aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue478/repro2.vhdl
blob: 653fd283c3f289f9d249a5e1e74bdd7fd38c0c60 (plain)
1
2
3
4
5
6
7
8
9
10
entity repro2 is
end;

architecture behav of repro2 is
begin
   process
   begin
    "and";
   end process;
end;