aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue521/tieee2.vhdl
blob: abdd17d43f445908c2fce764e0186ff8f104f889 (plain)
1
2
3
4
5
library ieee;
use ieee.std_logic_1164.all;

entity tieee2 is
end;