aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue524/cond.vhdl
blob: 5954b1e6cc61373fe573f9980946e97d64d46704 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;

entity cond is
  port (i, rst, clk : std_logic;
        o : out std_logic);
end;

architecture behav of cond is
begin
   process (clk) is
   begin
     if rising_edge(clk) then
       if rst then
         o <= '0';
       else
         o <= i;
       end if;
     end if;
   end process;
end;