aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue542/wrapper.vhd
blob: 12ccd633a629f7a9cbf57a104d26be3147eb3dd0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity wrapper is
	port(
	clk   : in std_logic;
	reset : in std_logic;
	write : in std_logic;
	ack   : out std_logic
);
end wrapper;

architecture a of wrapper is

	-- compiling with std=93 produces an error here
	component write is
		port(
					clk   : in std_logic;
					reset : in std_logic;
					write : in std_logic;
					ack   : out std_logic
				);
	end component;

begin

	--dut : entity work.write(a) -- compilation works with this type of instanciation/declaration, std=08 and component declaration on line 17 commented
	dut: component write
		port map(
					clk   => clk,
					reset => reset,
					write => write, --compiling with std=08 produces a error here
					ack   => ack
				);

end architecture;