aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue553/stimulus_response.vhd
blob: 55e3d83985cfbf5cd23a3003117ccd2d08819820 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

library osvvm;
context osvvm.OsvvmContext;


entity Stimulus_Response is
generic ( CLK_PERIOD : Time := 20 ns );
port (
    NRESET          : in std_logic;
    CLK             : in std_logic;

    A   : out std_logic
);
end Stimulus_Response;

architecture Behavioral of Stimulus_Response is

    constant Scrubbing_Test     : False;
    constant MEM_Test           : boolean := False; 

    signal A_int : std_logic;
 
begin

    A   <= A_int;

end Behavioral;