aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue561/wb_demux_tb.vhd
blob: 46e121777869efd86615e5ac6ab4d84afdb3b5e9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

library work;
use work.wishbone_pkg.all;

entity wb_demux_tb is
end entity;

architecture bench of wb_demux_tb is

	signal wbs_i : t_wishbone_slave_in(
		dat(32-1 downto 0)
	);

begin

	stimulus : process
	begin
		wbs_i.dat <= x"deadbeef";
		wait for 100 ns;
		report "pass" severity note;
		wait;
	end process;

	dut : entity work.wb_demux
	port map (
		wbs_i => wbs_i
	);


end architecture;