aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue561/wishbone_pkg-2008.vhd
blob: c4b5c1ef20df6228a3a5f094de5631316f7a0dfa (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;

package wishbone_pkg is

--./wb_demux_tb:internal error: waves.write_types: unhandled obj kind
type t_wishbone_slave_in is record
	dat : std_logic_vector;
end record;


--./wb_demux_tb:internal error: wave.create_type
--type t_wishbone_master_out is record
--	dat : std_logic_vector;
--end record;
--subtype t_wishbone_slave_in is t_wishbone_master_out;

end wishbone_pkg;