aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue606/repro1b.vhdl
blob: 6e6814bbb166bc8272302065d21649cdd089e806 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity repro1b is
  generic (depth : natural);
end repro1b;

architecture behav of repro1b is
  component repro1a is
    generic (depth : natural);
  end component;
begin
  assert false report "repro1b: depth = " & natural'image (depth)
    severity note;

  g : if depth > 0 generate
    comp1b : repro1a
      generic map (depth => depth - 1);
  end generate;
end behav;