aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue610/repro1.vhdl
blob: dfbc91f7dd088ee304105ab75233343592b12e00 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
entity repro1 is
   port (d : bit_vector := x"01");
end repro1;


architecture behav of repro1
is
  type t_bv_array is array (natural range <>) of bit_vector;
begin
  process
    variable v : t_bv_array (0 to 0)(d'length - 1 downto 0);
  begin
    v(0) := d;
    assert v(0)(0) = '1' severity failure;
    assert v(0)(1) = '0' severity failure;
    wait;
  end process;
end behav;