aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue610/repro3.vhdl
blob: dda5b89630e4bd48b69147cdbcd075f62eb8ffc1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity repro3 is
end repro3;

architecture behav of repro3 is
  procedure set (v : out string) is
  begin
    v := (others => ' ');
  end set;
begin
  process
    variable s : string (1 to 4);
  begin
    set (s);
    assert s = "    " severity failure;
    wait;
  end process;
end behav;