aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue613/t2.vhdl
blob: c976ac39addaa74c60f4e102772b60c125b27bfc (plain)
1
2
3
4
5
6
7
8
entity t2 is
end entity;

architecture a of t2 is
  constant SimulationTime_c  : time    := 0.0 ps;
begin
end;