aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue613/t3.vhdl
blob: 0cb9902e8db0de0a1739b8a926a13cf9d6c182ce (plain)
1
2
3
4
5
6
7
8
entity t3 is
end;

architecture behav of t3 is
  constant t1 : time := ps;
begin
  assert time'pos(t1) = 1 severity failure;
end behav;