aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue613/testsuite.sh
blob: 2b7c01f4f92a2aeb70a7025f42020c446f4d2402 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
#! /bin/sh

. ../../testenv.sh

if ! $GHDL --help -a | grep -q time-resolution; then
  echo "option --time-resolution not available"
else
    # Below the resolution
    analyze_failure --time-resolution=sec ent.vhdl
    analyze_failure --time-resolution=ns t3.vhdl

    # Zero physical literals are always allowed.
    analyze --time-resolution=ms t1.vhdl
    analyze --time-resolution=ms t2.vhdl

    analyze ent.vhdl
    elab_simulate --time-resolution=us ent

    elab_simulate --time-resolution=auto ent

    analyze t3.vhdl
    elab_simulate --time-resolution=ps t3
    elab_simulate --time-resolution=auto t3

    analyze t87.vhdl
    elab_simulate --time-resolution=ps t87
    elab_simulate --time-resolution=auto t87
    clean

    GHDL_STD_FLAGS=--std=87
    analyze t87.vhdl
    elab_simulate --time-resolution=ps t87
    elab_simulate_failure --time-resolution=auto t87
    clean
fi

echo "Test successful"