aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue618/e.vhdl
blob: 7297d5121f1d12ee9d260bf58ac588459544ccb4 (plain)
1
2
3
4
5
6
7
entity e is end entity;
architecture a of e is
  type t is range 0 to 1;
  constant c :t := 7 - 6;
begin
  assert c = 3 report "c /= 3" severity note;
end architecture;