aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue618/e1.vhdl
blob: 592835515e255f667c4d829a6ce83af8960d271c (plain)
1
2
3
4
5
6
7
8
entity e1 is end entity;
architecture a of e1 is
  type t is range 0 to 1;
  constant c :t := 7 - 6;
begin
  assert c = 3 report "c /= 3" severity note;
  assert c = t(3) report "c /= 3" severity note;
end architecture;