aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue626/repro.vhdl
blob: c58885b207535f1fd0bd08892877345e0f3cf157 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
entity inc is
	generic (v : natural; vec : bit_vector);
end entity;

architecture default of inc is
begin
  assert vec'left = 0 severity failure;
  assert vec'right = v severity failure;
  assert false report integer'image(vec'right);
end architecture;

entity repro is end entity;

architecture default of repro is
begin
	g : for ix in 0 to 4 generate
	begin
		inst : entity work.inc
                  generic map (v => ix,
                               vec   => (0 to ix => '1'));
	end generate;
end architecture;