aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue641/repro2.vhdl
blob: 055672a15ef315456222a77809a9d62ee1b814c2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
entity repro2b is
  port (b : bit_vector);
end entity;

architecture a of repro2b is
  signal c, d : b'subtype;
begin
  c <= b;
  d <= b;
end architecture;

entity repro2 is
end entity;

architecture tb of repro2 is
  signal s : bit_vector(7 downto 0);
begin
  DUT: entity work.repro2b
    port map (b => s);
end architecture;