aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue641/repro3.vhdl
blob: ff015f83a252195ee326d35f10ec976cbb645358 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
entity repro3b is
  port (b : bit_vector);
end entity;

architecture a of repro3b is
  signal c : b'subtype;
begin
  process
    variable d : c'subtype;
  begin
    d := b;
    d := (others => '0');
    assert d'left = 7;
    assert d'right = 0;
    wait;
  end process;
end architecture;

entity repro3 is
end entity;

architecture tb of repro3 is
  signal s : bit_vector(7 downto 0);
begin
  DUT: entity work.repro3b
    port map (b => s);
end architecture;