aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue641/repro4.vhdl
blob: b1bc34c6a0683e740f16f6bbc0bfed50a5a177c8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity repro4b is
  port (b : bit_vector);
end entity;

architecture a of repro4b is
  signal c : b'subtype;
begin
  c <= (others => '0');
end architecture;

entity repro4 is
end entity;

architecture tb of repro4 is
  signal s : bit_vector(7 downto 0);
begin
  DUT: entity work.repro4b
    port map (b => s);
end architecture;