blob: 8f07e84692b453a834af3632517f81f63f2c098e (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.TestPkg.all ;
entity test is
port(
input : in unsigned);
end entity;
architecture rtl of test is
signal copy : input'subtype;
alias B is copy ;
begin
copy <= input ;
process
begin
wait on copy ; -- Suppress first run
report "Copy, B = " & to_hstring(Copy) & ", " & to_hstring(B) ;
end process ;
end architecture;
|