aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue645/tb.vhdl
blob: cf0bf31289954ee2c3129790dde7f8ef24ae5a61 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity tb is
end tb;

library ieee;
use ieee.std_logic_1164.all;
use work.types.all;

architecture behav of tb is
  signal clk : std_logic;
  signal l : std_logic_vector(31 downto 0);
  signal res : t(3 downto 0)(31 downto 0);
begin
  dut : entity work.foo
    generic map (n => 4, p => 32)
    port map (clk, l, res);
end behav;