aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue648/ice.vhdl
blob: 47b5d3bddc7ef483d3c2ea6eaf4727c876aa4fbd (plain)
1
2
3
4
5
6
7
8
9
10
11
entity e is end entity;
library ieee;
architecture h of e is
  constant L :positive := integer(ieee.math_real.ceil(9.9));
  signal   s :bit_vector(31 downto 0);
  alias    a :bit_vector(L-1 downto 2) is s(L-1 downto 2);
  signal   b :bit_vector(L-1 downto 2);
begin
  a <= b;
end architecture;