aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue652/ent.vhdl
blob: 00757ae13f26eeb389a17e309c275a6b52f6a34a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
entity clock_Generator is
	port (
		Clock : out bit
	);
end entity;

entity clock_Monitor is
	port (
		Clock : in bit
	);
end entity;

package clock is
end package;


library Clock;
use     Clock.clock.all;

entity test is
end entity;

architecture tb of test is
  signal Clock        : bit;
begin
	gen: entity Clock.clock_Generator
		port map (
			Clock       => Clock
		);
	
	mon: entity Clock.clock_Monitor
		port map (
			Clock       => Clock
		);
end architecture;