aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue654/ent.vhdl
blob: 97c672383e13b3cb141a69e3737fca97ef7e9de6 (plain)
1
2
3
4
5
6
7
8
entity ent is
end ent;

architecture behav of ent is
  signal s : bit;
begin
  s <= not s;
end behav;