aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue666/tb2.vhdl
blob: c7c5a35f8ade2e085fc9af4e5446148f3b5b87e0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
entity tb2 is
end entity;
architecture bench of tb2 is
	constant kill_size : positive := 50331648;
--	signal s : string(1 to kill_size);

	function fun return string is
		variable v : string(1 to kill_size);
	begin
		return "hello";
	end;
begin
	proc: process
		-- Segmentation fault occurs for variable too, but much faster
		variable s : string(1 to kill_size);
	begin
		s := fun;
--		s <= fun;
		report "pass" severity failure;
	end process;
end bench;