aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue694/mixer.vhdl
blob: 4b6f4706d787b48ff0ea445e32e87aef7d23d008 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;
use work.mixer_pkg.sample_array;

entity mixer is
    generic(
        sample_bits: positive
    );
    port(
        i_samples: in sample_array(0 to 127)(sample_bits-1 downto 0)
    );
end mixer;

architecture behavioural of mixer is
begin
end behavioural;