aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue694/mixer_pkg.vhdl
blob: fb049fb18a82f70aa39f179f75fbe2c1ca70c30e (plain)
1
2
3
4
5
6
library ieee;
use ieee.std_logic_1164.all;

package mixer_pkg is
    type sample_array is array (natural range <>) of std_logic_vector;
end package mixer_pkg;