aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue697/test.vhdl
blob: b7ccec6f6e412f1a26cf98f5c5fd676862090f3a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;

package a is
    generic(
        size: positive
    );
    subtype t is std_logic_vector(size-1 downto 0);
end package a;


entity test is
end test;

architecture dataflow of test is
    package p is new work.a generic map(
        size => 3
    );
begin
    entities:
    for i in 0 to 7 generate
         signal a: work.a.t;
    begin
    end generate entities;
end dataflow;