aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue710/ent1.vhdl
blob: df618a5bd189409adaa8fcff789603dba21b7abc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
package pkg is
  procedure foo;
end package;

package body pkg is
  procedure foo is
  begin
    report "pkg foo";
  end procedure;
end package body;

entity ent is
end entity;

architecture a of ent is
  procedure foo is
  begin
    report "arch foo";
  end procedure;
begin
  main : process
    use work.pkg.foo;
  begin
    foo; -- Causes ambiguity error but not if use clause is moved to architecture declarative region.
    wait;
  end process;
end architecture;