aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue710/ent2.vhdl
blob: d42c8f839e3b32aae99513968418a8e8f2c6d310 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
package pkg is
  procedure foo;
end package;

package body pkg is
  procedure foo is
  begin
    report "pkg foo";
  end procedure;
end package body;

entity ent is
end entity;

architecture a of ent is
  use work.pkg.foo;
begin
  blk: block
    procedure foo is
    begin
      report "arch foo";
    end procedure;
  begin
    main : process
    begin
      foo;
    end process;
  end block;
end architecture;