aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue72/issue_pkg.vhdl
blob: 2d01d86e652e72aa11d8f991dfcab7d290f9bc39 (plain)
1
2
3
4
5
package issue_pkg is

  type t_one_two is (one, two);

end package issue_pkg;