aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue729/ent.vhdl
blob: 3c0eb4db98f2ae332a986f109f0b40df4e447b5f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity e is
end entity;

architecture a of e is
  signal a : boolean;
begin
  process(a)
  begin
    case a is
      when false =>  report "FALSE";
      when true =>   report "TRUE";
      when others => report "others";
    end case;
  end process;
end architecture;