aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue735/ent.vhdl
blob: f44d5dab295a875fb9a09796967831e8d109f88e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity ent is
end entity;

architecture impl of ent is
  type bool_vector is array(0 downto 0) of boolean;
  signal baz: bool_vector;
begin

assert baz(0)
  severity note;

end architecture;