aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue737/ent1.vhdl
blob: 71a98b1b631f42286d4894bd77d4eab1178475fa (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity ent1 is
end entity;

architecture impl of ent1 is
	type bitvv is array(natural range <>) of bit_vector;
	signal foo: bitvv(2 downto 0)(0 downto 0);
        signal foo1 : bitvv(1 downto 0)(0 downto 0);
begin

foo1 <= foo(1 downto 0);

end architecture;