aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue776/my_top.vhdl
blob: c2e5eb1cbbf642f2bf89c267147719f7b949d8fb (plain)
1
2
3
4
5
6
7
8
9
library ieee;
use ieee.std_logic_1164.all;

entity my_top is end entity;

architecture my_top_impl of my_top is
begin
        HA_Entity_instance: entity work.HA_Entity port map('0', '0');
end architecture;