aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue807/repro.vhdl
blob: 1e57d8bf290c0a42207c5252a9b29303f1ea0434 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

use work.test_pkg.all;

entity test is
end entity;

architecture a of test is
begin

  process
    variable rec : record_t(data(7 downto 0));
  begin
    test_procedure(rec);
    report to_string(rec.data);
    wait;
  end process;

end architecture;