aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue818/tc1.vhdl
blob: ff2cc0775d3ed25769a1ac0e392d2fa44975061f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity tc1 is
end;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tc1 is
  signal clk : std_logic;
  signal tg : std_logic;
begin
  process (clk) is
  begin
    if ?? tg then
      null;
    end if;
  end process;
end behav;