aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue864/mwe2.vhdl
blob: fe6507ca5fa7bbfc9913c72cfc6988425fa74c17 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
library ieee;
use ieee.std_logic_1164.all;

entity a is
  port (
    a_in : IN std_logic;
    a_out : OUT std_logic
  );
end entity a;

library ieee;
use ieee.std_logic_1164.all;

entity b is
  port (
    b_in : IN std_logic;
    b_out : OUT std_logic
  );
end entity b;

architecture rtl of a is
begin
  process (a_in)
  begin
    a_out <= a_in;
  end process;
end architecture rtl;

architecture rtl of b is 
  component a
    port (
      a_in : IN std_logic;
      a_out : OUT std_logic
    );
  end component;

  for a0 : a;
begin
  a0: a port map (a_in => b_in, a_out => b_out);
end architecture rtl;