aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue873/tb.vhdl
blob: bdd09139487e46a90186355c5470caaa66cd60f8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
library ieee;
use ieee.std_logic_1164.all;

entity dummy is
    generic (
        LENGTH : natural);
    port (
        A : in std_logic_vector (LENGTH - 1 downto 0);
        Q : out std_logic);
end entity;

architecture rtl of dummy is

begin

    Q <= A(0);

end rtl;

library ieee;
use ieee.std_logic_1164.all;
 
entity tb is
end tb;
 
architecture rtl of tb is

    signal x : std_logic;

begin
    x <= '0';
 
    dummy_i: entity work.dummy
        generic map (
            LENGTH => 1)
        port map (
            A => std_logic_vector(x),
            Q => open);

end architecture;