aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue877/tb.vhdl
blob: e88ab827f474611a7910034f866bf916dc30c616 (plain)
1
2
3
4
5
6
7
8
9
entity tb is
end tb;

architecture behav of tb is
  constant msg : string := "hello world";
begin
  assert msg (positive range 1 to 5) = "hello" severity failure;
  assert msg (positive range 7 to 11) = "world";
end behav;