aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue899/testing.vhdl
blob: dc1b7df3e123bc0b755a46c84983e7b9535b524d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library IEEE;
use IEEE.NUMERIC_STD.ALL;

entity testing is
generic(
    GENVAL : integer := 4);
end entity;

architecture RTL of testing is
    type pixel_line is array(natural range <>) of bit_vector;
    signal data_in : pixel_line(0 to GENVAL)(7 downto 0);
begin
end architecture;