aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue912/mwe_entity.vhdl
blob: 71837546899cc0accacae24627cffc2aa36db0ed (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
use ieee.std_logic_1164.all;

entity mwe_entity is
  port (
        input : in std_logic;
        output : out std_logic
  );
end mwe_entity;

architecture behav of mwe_entity is
begin
	process(input)
	begin
		output <= input;
	end process;
end behav;