aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/perf02-long/cmp_673.vhd
blob: 42d1da721326d6e7d9392d4439d189e99363f2ca (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

entity cmp_673 is
	port (
		in1 : in  std_logic_vector(31 downto 0);
		in0 : in  std_logic_vector(31 downto 0);
		eq : out std_logic
	);
end cmp_673;

architecture augh of cmp_673 is

	signal tmp : std_logic;

begin

	-- Compute the result
	tmp <=
		'0' when in1 /= in0 else
		'1';

	-- Set the outputs
	eq <= tmp;

end architecture;