aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/sr2737/repro.vhdl
blob: 571afe930953faab26b5e5d1ec3708fa238e2276 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity repro is
end entity;

architecture ghdl_bug of repro is

begin
  --  Static
  assert bit_vector'("11100"  ror  5)  = "11100" report "ror  5 is broken" severity warning;
  --  Not static
  assert bit_vector'("11100") ror  5 = "11100" report string'("ror  5 is broken ")  severity warning;

  --  static
  assert bit_vector'("11100"  rol -5)  = "11100" report "rol -5 is broken" severity warning;
  --  not static
  assert bit_vector'("11100") rol -5 = "11100" report string'("rol -5 is broken ")  severity warning;
end architecture;