aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket109/t.vhdl
blob: 876485cbfc513220c2257ef7003b6951064006b3 (plain)
1
2
3
4
5
6
7
entity t is
end;

architecture behav of t is
begin
  assert (1 + 1) * 1 = 2;
end behav;