aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket14/repro.vhdl
blob: 6d89fbc25eb97ffb4246e4e26838c7f2c53d5f34 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
entity repro is
    generic (
	BUS_WIDTH : integer := 8;
	ARRAY_WIDTH : integer := 2);
end entity repro;

architecture behavioural of repro is

    type test_array_type is array (ARRAY_WIDTH-1 downto 0) of
      bit_vector (BUS_WIDTH-1 downto 0);
    signal s : test_array_type := (others => (others => '0'));

begin

    failing_process : process
    begin
      assert s'left = 1;
      assert s'right = 0;
      wait;
    end process failing_process;
    
end architecture behavioural;