aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket32/muxcy.vhdl
blob: d9c912f0f70c6b07727183b92066c9ef0dd1e375 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity muxcy is
  port (s, di, ci : std_logic;
        o : out std_logic);
end muxcy;

architecture behav of muxcy is
begin
  o <= di when s = '0' else ci;
end behav;