aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket32/repro.vhdl
blob: 277fa3f0ca03121879d4c6d73975e4104a5cb237 (plain)
1
2
3
4
5
6
7
8
9
10
entity repro is
end;

architecture tb of repro is
  signal x : bit_vector(1 downto 0);
  signal y : bit;
begin
	assert (y = '1') = (x = "11");
end tb;